1. 程式人生 > >modelsim中模擬波形設定的儲存

modelsim中模擬波形設定的儲存

1、在wave介面,將模擬波形儲存為 .do檔案(訊號列表檔案)。

2、切換左邊工作列至“sim”,,點選儲存,給將要儲存的 .wlf檔案(波形檔案)命名為自己想要的名字,預設為vsim.wlf。

(wlf檔案為modelsim的wave log file檔案格式)

3、儲存,OK,關閉modelsim(注意,必須關閉modelsim,否則開啟wlf檔案的時候,會提示未正常關閉,無法開啟)。

4、重啟modelsim,open 之前儲存的 .wlf檔案,然後 load 對應的 .do檔案。

也可以使用命令列的格式開啟此波形檔案,舉例如下:vsim -view wave.wlf -do run.do。