1. 程式人生 > >ModelSim中如何指定訊號的數值進位制

ModelSim中如何指定訊號的數值進位制

modelsim模擬中的波形檔案預設顯示是二進位制,而在模擬的過程中16進位制的資料檢視可能會更方便。

有二種方式波形檔案顯示的數值的進位制,第一種是直接修改配置檔案,第二種是使用do檔案時,指定波形的顯示進位制

第一種方法:

可以通過修改modelsim安裝目錄下面的modelsim.ini檔案裡面的DefaultRadix 值實現

; Default radix for all windows and commands.
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
DefaultRadix = symbolic

其預設值為symbolic,如果希望得到16進位制資料顯示方式,將預設值修改為hex即可。

第二種方法:

在使用do檔案進行模擬時,在新增訊號到波形的這一步驟中,可以指定訊號的顯示方式

如:

add wave  -hex /pulse_out_top_inst/Act_x   //Act_x的波形資料將會以十六進位制的方式顯示
add wave  -decimal /pulse_out_top_inst/Act_y  //Act_y的波形資料將會以十進位制的方式顯示