1. 程式人生 > 資訊 >角逐先進封裝:半導體廠商的“諸神之戰”

角逐先進封裝:半導體廠商的“諸神之戰”

【編者按】後摩爾時代,隨著 5G、AI、物聯網、大資料及智慧製造等技術不斷突破創新,業內對於體積更輕薄、資料傳輸速率更快、功率損耗更小及成本更低的晶片需求大幅提高。而由於單純依靠精進製程來提升晶片效能的方法已無法滿足時代需求,先進封裝技術正被視為推動產業發展的重要槓桿。於是,各半導體巨頭正拿出“殺手鐗”,在先進封裝領域掀起一場前所未有的“諸神之戰”。

2011 年秋,張忠謀毫無預兆的擲出一個震撼彈 —— 臺積電要進軍封裝領域。為此,他請回已從臺積電退休的蔣尚義重新掌舵研發,而具體任務落在了餘振華肩上。代工龍頭進軍下游,市場頓時對封測廠的前景打上問號。風口浪尖之時,餘振華在公開場合舌戰群儒,大力推銷臺積電的先進封裝技術。但封測界累積的不滿,終於在一場技術研討會爆發。

在餘振華演講結束後,一位矽品研發主管發難,“你的意思是說我們以後都沒飯吃了?”隨著行業氣憤逐漸達到冰點,餘振華在張忠謀“點撥”後突然在公開場合銷聲匿跡,埋頭攻堅研發。歷經兩三年時間,餘振華不負眾望,帶領數百人的團隊開發出了 CoWoS 技術。可直到開始量產,真正下單的主要客戶只有賽靈思一家,其餘客戶都覺得價格太貴。

此後,即便輩分極高,“蔣爸”也面臨巨大壓力:某人誇下海口要了大量資源,但做了個沒什麼用的東西。而餘振華則陷入人生低潮,不僅工作變化大,連家庭也出現狀況。然而,轉折機會很快來臨。某天,在與一位大客戶的硏發副總共進晚餐時,對方告訴蔣尚義,這類技術的價格不能超過每平方毫米 1 美分才能被接受,但 CoWoS 的價格卻超過 5 倍。

▲餘振華

或是一語點醒夢中人,臺積電隨後決定開發新技術。“我就用力沖沖衝,”餘振華決定改用減法,將 CoWoS 結構儘量簡化。不久後的一天,蔣尚義激動地衝進張忠謀辦公室,說餘振華挖到一個大金礦,開發出了 InFO 技術。由於 InFO 具備減少晶片厚度、提高能效、高性價比等特性,自 2016 年起,臺積電藉此一舉擊敗三星,連拿三代蘋果手機訂單。

在技術路線上,InFO 與 CoWoS 都屬於晶圓級封裝技術,即直接在矽晶圓上完成封裝。而為了解決層出不窮的技術難題,臺積電也曾付出昂貴學費,5 年間產線燒壞幾千片昂貴的晶圓。但這些學費也物有所值。在 InFO 技術開花結果不久後,CoWoS 技術開始被英偉達 GP100、谷歌 AlphaGo 和日本“富嶽”超算等採用,進而拉開了世界人工智慧熱潮序幕。

可以說,臺積電推出 InFO 與 CoWoS 是產業發展的標誌性事件之一,拉開了全球持續至今的行業競賽。過去幾年,當業界矚目各巨頭競爭時通常聚焦在先進製程和 EUV 等技術,但不起眼的封測環節儼然成為臺積電甩開三星、英特爾的重要砝碼。如今,隨著先進封裝的地位與日俱增,各半導體巨頭正拿出“殺手鐗”,掀起一場前所未有的“諸神之戰”。

01 臺積電:整合創新

在官網關於 3D 先進封裝的介紹中,臺積電稱,計算工作的負載在過去十年中的發展可能比前四個十年都要大。目前,雲端計算、大資料分析、人工智慧、神經網路訓練、人工智慧推理、先進智慧手機上的移動計算甚至自動駕駛汽車,都在推動計算向極限發展。面對更多樣化的計算應用需求,先進封裝技術成為持續優化晶片效能和成本的關鍵創新路徑。

基於此,伴隨著網路流量的爆炸性增長,資料中心開始向矽光子領域發展。於是,2021 年 9 月,臺積電針對資料中心市場推出了其新型先進封裝技術 ——COUPE(緊湊型通用光子引擎)異構整合技術,將光學引擎與多種計算和控制 ASIC 整合在同一封裝載板或中間器件上。這一技術能夠使元件之間的距離更近,提高頻寬和功率效率,並減少電耦合損耗等。

與此同時,基於封裝技術的演進,臺積電也在不斷迭代原來的拳頭技術產品。2021 年 8 月,臺積電宣佈第五代 CoWoS 先進技術應用並量產,可在基板封裝 8 片 HBM2e 高速暫存儲存器,總容量可達 128GB。臺積電表示,第五代 CoWoS 先進封裝技術電晶體數量是第三代 20 倍,同時增加 3 倍中介層面積,而且使用全新 TSV 解決方案以及更厚的銅連線線。

近十年來,臺積電已經推出五代不同的基板上晶片封裝工藝,涵蓋了消費級與伺服器晶片領域,產品多達數十款。而為了滿足當前系統效能、縮小面積以及整合不同功能的需求,臺積電已將先進封裝相關技術整合為 3DFabric 平臺,可讓客戶自由選配。其中,前段技術包含整合晶片系統 SoIC,後段組裝測試相關技術包含整合型扇出 InFO 及 CoWoS 系列產品。

隨著先進封裝技術及產業方興未艾,各大半導體廠商迭代技術方案同時也在不斷擴大相關產能。目前,臺積電有五座先進封裝工廠,包含新竹 1 廠、臺南 2B 與 2C 廠、龍潭 3 廠與臺中 5 廠。而建設中的竹南 AP6 廠採全自動化設計,專攻 SoIC 相關設計生產。2021 年,竹南 AP6 廠 SoIC 部分目標裝置移入,InFO 相關部分目標 2022 年到位,整體將 2022 年底量產。

誠然,鑑於在矽中介層、晶圓加工技術以及成本等方面的優勢,臺積電將能從高精度路徑繼續保持市場領先。而無論前段或後段產業都在致力推動半導體發展,使得系統微縮追求更高系統效能、更低耗能及更小體積上的精進。目前,臺積電的 3D Fabric 平臺已率先進入新階段,從異質整合、系統整合到現在的系統微縮均具備一定優勢,但挑戰同樣不容小覷。

02 日月光:亦步亦趨

近年來,自臺積電涉足先進封裝領域後,對其他封測廠的“威脅論”就不曾間斷。其實,臺積電在先進封裝的策略與傳統封測廠有所差異,即主要是繫結先進製程為金字塔頂端客戶定製優化的產品,對應產品類別多為 HPC 及高階智慧手機。而封測廠在先進封裝的策略大不相同,即在於多樣化的封裝技術和龐大產能,可為客戶提供一站式且平價的解決方案。

雖然長期穩坐傳統封裝市場龍頭地位,但隨著使用不同封裝技術進行異質晶片整合成為新時代的發展趨勢,日月光也在不斷加碼晶圓級 FOWLP 技術,以提上在先進封裝領域的實力。目前,日月光針對 SIP 封裝有兩個明顯趨勢:一是從單面變成雙面,雖然厚度增加但隨著技術演進會縮小。二是增加不少異形鍵,從而不用依賴基板以及使線寬間距做得更優化。

其實,自 2014 年起,日月光就曾跟隨臺積電腳步投入 FOWLP 封裝技術研發。最初,日月光采用的是面板級 (Panel Level) 扇出型技術,但兩年後轉向晶圓級 (Wafer Level) 技術發展,並完成研發並匯入試產。緊接著,日月光建設了 2 萬片月產能的 FOWLP 封裝生產線,併成功拿下高通及海思大單,成為繼臺積電之後、全球第二家可以量產 FOWLP 封裝的半導體代工廠。

在經歷四年多“纏鬥”後,日月光於 2020 年 3 月完成對矽品收購,進一步鞏固了行業龍頭地位及封裝技術實力。但為了應對行業激烈競爭,尤其在臺積電宣佈投資 716 億再建一座先進封裝廠刺激下,日月光繼續發力先進封裝:宣佈投資逾 60 億元在高雄建立先進封裝廠,以擴大相關產能規模。這座工廠也是日月光“5 年 6 廠”的階段性成果,預計 2023 年完工。

▲日月光高雄工廠

整體上,經過多年布建耕耘,日月光在先進封裝領域已具備不俗實力,可以提供 SiP、2.5D & 3D IC 封裝及扇出型封裝(Fan Out)等高階技術,並且在價格、產能、良率及“一站式封裝”等方面具備不俗優勢。此外,日月光在覆晶封裝、焊線封裝、面板級封裝等方面取得多項研發成果同時,還在 5G、感應器、車用電子及智慧裝置方面不斷加大投入力度。

但加大布局投入勢必需要資本支撐,於是日月光做出“艱難”的決定。2021 年 12 月 1 日,日月光宣佈將位於大陸的四家封測工廠以 14.6 億美元打包出售。至於其中原因,日月光稱,此次出售四個工廠,是合併矽品之後首次提出整合集團封測資源,優化大陸市場的戰略佈局及資源的有效運用,同時獲利將強化公司在臺灣先進封裝技術研發及產能建置。

03 三星:重振旗鼓

無論在晶圓代工還是先進封裝市場,三星一直沒有放緩追趕臺積電的腳步。比如在即將量產的 3nm 工藝製程及 3D 先進封裝技術領域,三星和臺積電競爭越來越激烈,甚至進入全面“戰爭”狀態。目前,三星與臺積電在工藝製程方面差距不算很大,但在封裝領域仍處於一定劣勢。不過,基於在 FOPLP 和 FOWLP 技術上全力追趕,三星或將能縮小差距。

此前,三星因具備全球唯一的記憶體、處理器和封裝廠“一體化生產線”優勢曾長期獨享蘋果手機訂單,但卻不曾想主因先進封裝劣勢丟了這一肥單。三星也曾成立特別工作小組開發 FOPLP 技術,但這項技術僅在 Galaxy Watch 的晶片封裝中商用,並無多大建樹。而隨著臺積電通吃蘋果新品的可能性愈來愈高,三星毅然加碼發力先進封裝,並送出“三連擊”。

2019 年 10 月,三星宣佈率先在業內開發出 12 層 3D-TSV(矽穿孔)封裝技術,在保持晶片尺寸的同時增加了記憶體容量,並將量產 24GB 的高頻寬記憶體 (HBM)。三星方面稱,該技術垂直堆疊了 12 個 DRAM 晶片,通過 60000 個 TSV 孔互連,每一層的厚度僅有頭髮絲的 1/20。由此,三星不忘豪言稱,這是目前世界上最精確和最具挑戰性的半導體封裝技術。

▲三星 X-Cube 測試晶片架構

基於不俗的研發實力,2020 年 8 月,三星又宣佈推出 3D 先進封裝技術“X-Cube”。不同於以往的多個晶片平行封裝,這一技術基於 TSV 矽穿孔技術,可以將包括 SRAM 在內的不同晶片垂直堆疊,從而釋放空間堆疊更多記憶體晶片。三星方面稱,X-Cube 技術已經可以用於 7nm 及 5nm 工藝,同時還將滿足 5G、AI、AR、VR、HPC 和移動晶片等領域的效能要求。

此外,到了 2021 年 5 月,三星宣佈其下一代 2.5D 封裝技術“I-Cube4”即將上市。據介紹,該技術整合 1 顆邏輯晶片和 4 顆高頻寬記憶體(HBM),將大幅提升邏輯器件和記憶體之間的通訊效率。與此同時,該技術還在保持效能前提下將中介層做得比紙還薄,厚度僅有 100μm。但也有專家指出,I-Cube4 技術存在寄生引數缺陷及過薄等問題,或將影響產品效能。

目前,隨著 AI、HPC 和網路應用細分市場對規格的要求不斷增加,以及安裝在一個封裝中的晶片數量和尺寸增加或需要高頻寬通訊,大面積封裝變得越來越重要,但其需採用的細間距基板將不可避免導致成本上升。對此,三星通過應用混合基板結構解決了其中的難點痛點。這將有利於三星提升先進封裝的競爭力,以及在與臺積電的競爭中爭奪主動權。

04 英特爾:多維建構

與臺積電、三星兩大 IDM 對手一樣,英特爾曾一直試圖推動先進製程精進同時發力先進封裝技術,以及通過電晶體、封裝和晶片設計協同優化進步繼續推動摩爾定律演進。但英特爾的希望似乎均落空,不僅在先進製程技術卡殼導致 14nm 後面的“+”號不斷加長,而且在先進封裝領域被臺積電趕超後遲遲沒有亮眼動作。但“沉寂”數年後,英特爾開始迸發。

2021 年 3 月,英特爾釋出 IDM2.0 戰略,將未來製造模式變革為:“自有工廠 + 第三方產能 + 代工服務”組合。其中的戰略包括,投資 200 億美元在美國建兩座晶圓工廠;全面對外提供代工服務;擴大外包訂單量;與 IBM 聯合研發下一代邏輯晶片的先進封裝技術。隨後,英特爾又相繼宣佈各投資 25 億美元、70 億美元在美國、馬來西亞擴大先進封裝產能。

▲2021 年 3 月 24 日,英特爾 CEO 帕特・基辛格釋出英特爾 IDM2.0 戰略

此前,鑑於先進封裝的地位與日俱增,英特爾已在 2.5D 封裝領域佈局,並於 2017 年推出 EMIB(嵌入式多晶片互連橋接)技術。這一技術可以將不同型別、不同工藝的晶片 IP 組合在一起,類似一個鬆散的 SoC。不過,EMIB 沒有引入額外的矽中介層,只在兩枚裸片邊緣連線處加入了一條矽橋接層,並重新定製化裸片邊緣的 I / O 引腳以配合橋接標準。

繼推出突破性的 EMIB 封裝技術之後,英特爾很快實現另一個飛躍,即 2018 年 12 月推出名為“Foveros”的全新 3D 封裝技術。據英特爾介紹,Foveros 技術是英特爾首次引入 3D 堆疊的優勢,不僅可以實現在邏輯晶片上堆疊邏輯晶片,還可以將不同工藝、結構、用途的晶片進行異構整合,從而為整合高效能、高密度和低功耗工藝技術的系統鋪平了道路。

於是,自 2019 年下半年開始,英特爾開始推出一系列採用 Foveros 封裝技術的產品。其中,首款 Foveros 產品整合高效能 10nm 計算堆疊“晶片組合”和低功耗 22FFL 基礎晶片,可以在小巧的產品形態中實現世界一流的效能與功耗效率。緊接著,英特爾在先進封裝的動作已停不下來,不斷公佈新的技術突破和相關產品,進而構建起多維的先進封裝佈局。

其中值得注意的是,在 2020 年架構日上,英特爾推出了混合鍵合 (Hybrid bonding) 技術,且相關測試晶片已在當年第二季度流片。據介紹,這一技術能夠加速實現 10 微米及以下的凸點間距,較 Fovreros 的 25—50 微米凸點間距明顯提升,具備更高的互連密度、頻寬和更低的功率。顯然,英特爾在商業模式方面正在向臺積電靠攏,並將成為其強力競爭對手。

05 長電科技:提速破局

受益於半導體產品市場的蓬勃發展,長電科技近年來在全球半導體封測行業保持領先地位。基於在先進封裝上的提速破局,長電科技的業務當前主要以先進封裝為主,佔封裝總業務的九成以上。而隨著 5G 時代的到來以及產業需求發生新的變化,長電科技正聚焦加大對 5G、AI、移動終端、車載電子、大資料儲存和物聯網等領域的先進封裝技術投入。

於是,2021 年 7 月,長電科技推出 XDFOI™全系列極高密度扇出型封裝解決方案,旨提供高性價比、高整合度、高密度互聯和高可靠性的解決方案,預計於 2022 年下半年完成產品驗證並實現量產。在技術方面,XDFOI™通過將不同功能的器件整合在系統封裝內,可達到大幅降低系統成本同時縮小封裝尺寸,並能提供小晶片和異構封裝的系統解決方案。

在此之前,長電科技重點發展系統級封裝(SiP)、扇出型封裝(Fan-out)和 2.5D / 3D 封裝等技術,並將 SiP 和 Fan-out 封裝打造成其最主要的先進封裝技術。其中,長電科技通過收購星科金朋獲得的 SiP 技術已可與日月光抗衡。這一封裝技術將不同用途的晶片整合於同一個系統中,在系統微型化中提供更多功能,而且還使得原有電子電路可減少 70%-80%。

另外,長電科技的無矽穿孔扇出型晶圓級高密度封裝技術,可在矽中介層(Si Interposer)中使用堆疊通孔技術(Stacked VIA)替代矽穿孔技術(TSV)。該技術可以實現多層 RDL 再佈線層,2×2um 的線寬間距,40um 極窄凸塊互聯,以及整合高頻寬儲存和整合無源元件。目前,長電科技正致力於將 Fan-out 技術和 SIP 技術結合,以實現靈活的異構整合。

在產能方面,長電科技也具備一定優勢,目前主要工廠為長電先進、長電韓國及星科金朋。其中,長電先進具備 FC、PoP、Fan-out、WLP、2.5D / 3D 等先進封裝能力;星科金朋新加坡廠擁有 Fan-out eWLB 和 WLCSP 封裝能力,韓國廠擁有 SiP 和 FC 系統封測能力,江陰廠擁有先進的儲存器封裝、全系列的 FC 倒裝技術;長電韓國主營 SiP 高階封裝業務。

值得注意,江陰基地是長電科技與中芯國際合作的主要陣地,相對更能發揮出上下游市場的協同效應。此外,中芯國際是長電科技目前持股超 10% 的第二大股東,且長電科技多位高管均有中芯國際背景。由此可見,長電科技已與中芯國際與深度合作,而且既有業務連線緊密。基於先進封裝技術不斷突破,長電科技與中芯國際的經營業績或將形成共振增長。

06 AMD:引領風潮

在後摩爾時代,隨著晶片先進製程逐漸突破物理極限,人們開始由先前的“如何把晶片變得更小”轉變為“如何把晶片封得更小”,進而使得以 Chiplet 為首的先進封裝技術隨之浮出水面。在這樣的思路下,要在製程微縮時獲得效能提升,Chiplet 設計與創新晶片架構、異質整合平臺漸成主流方式之一。因此,AMD、英特爾、臺積電等巨頭相繼釋出了 Chiplet 產品。

其中,英特爾在其 2021 年架構日中釋出了下一代至強可擴充套件處理器,即採用 2.5D 的嵌入式橋接解決方案,在 Chiplet 領域邁出了關鍵一步。臺積電也早已重兵押注,釋出了由 CoWoS 與 InFO 技術組成的 SoIC 晶片 3D 堆疊技術,可提供 Chiplet 的彈性解決方案。相對而言,AMD 無疑是 chiplet 風潮的引領者,目前已有 14 種用於 Chiplet 的封裝架構正在研發中。

尤其 2021 年 6 月,AMD 釋出了基於 3D Chiplet 技術的 3D V-Cache。該產品使用臺積電的 3D Fabric 先進封裝技術,將含有 64MB L3 Cache 的 chiplet 以 3D 堆疊的形式與處理器封裝在一起。在 AMD 展示的概念晶片中,原處理器 Chiplet 中帶有 32 MB L3 Cache,而在和 64 MB 的 3D V-Cache 做 3D 封裝後,每個 Ryzen 5000 Chiplet 可以訪問總共 96 MB 的 L3 Cache。

目前,先進封裝領域有兩條由應用驅動的技術路徑,即提升互聯密度和 Chiplet。而 AMD 的 3D Chiplet 把兩條技術路線匯合在一起。其互聯密度較 2D Chiplet 高兩百多倍,較傳統 3D IC 技術提高 15 倍。AMD 預計 2021 年底前生產運用 3D Chiplet 技術的 HPC 產品,2022 年推出 5 奈米 Zen4 架構處理器,並且已向臺積電預訂明後兩年 5 奈米及 3 奈米產能。

AMD 之所以能引領 Chiplet 技術,離不開多年積累。從 2015 年開始使用 HBM 技術,到 2019 年推出使用 chiplet 的產品,再到推出 3D chiplet,每一步都可以看見其決心。據瞭解,2017 年,AMD 在推出的處理器上便採用 Chiplet 技術將 4 個 SoC 相互連線,隨後在下一代產品中又通過 Infinity 技術將 8 個 7nm Chiplet 小晶片和 1 個 12nm Chiplet I / O 相互連線。

顯而易見,Chiplet 將為半導體產業帶來新的機會,比如降低大規模晶片設計門檻,有效降低晶片客戶設計成本,提升晶圓廠和封裝廠產線的利用率,以及建立可互操作的元件、互連、協議和軟體生態系統等。而隨著入局企業、設計樣本越來越多,開發成本逐步下降,Chiplet 生態將獲得加速發展。但與此同時,AMD 未來是否還能引領 Chiplet 風潮尚有待見證。

07 尾聲

長期以來,半導體產業角逐的“主戰場”是在晶片設計以及晶片製造環節。但在後摩爾時代,隨著 5G、AI、物聯網、大資料等技術不斷突破創新,業內對於體積更輕薄、資料傳輸速率更快、功率損耗更小及成本更低的晶片需求大幅提高。這使得單純依靠精進製程來提升晶片效能的方法已無法滿足時代需求,而先進封裝技術被視為推動產業發展的重要槓桿。

與傳統封裝相比,晶片使用先進封裝技術可縮短尺寸、減輕重量達數十倍。此外,先進封裝技術節約的功率可使相關元件以每秒更快的轉換速度運轉而不增加能耗,同時更有效地利用矽片的有效區域。簡而言之,先進封裝技術不僅在整合度、效能、功耗等方面更具優勢,而且設計自由度更高、開發時間更短。因此,其一度號稱是超越摩爾定律瓶頸的最大“殺手鐗”。

鑑於此,先進封裝技術的發展前景極為廣闊。根據調研機構 Yole 的資料,2020 年至 2026 年,先進封裝市場複合年增長率約為 7.9%,幾乎是傳統封裝市場預期增長率 (2.2%) 的三倍。面對這一發展機遇,除了封裝廠、IDM 廠商,晶圓廠、基板 / PCB 供應商,以及 EMS / ODM 等眾多廠商都在競相佈局先進封裝研發和產能。而這必將衝擊傳統封裝市場的舊有格局和發展模式。

近年來,臺積電、日月光、三星、英特爾、長電科技、AMD 等相繼拿出自己的“殺手鐗”:3D Fabric、FOWLP、X-Cube、Foveros、XDFOI™、3D Chiplet。雖然這些技術的核心細節有所不同,但殊途同歸,都是在向更高密度和更高整合的方向發展,以實現更為複雜和靈活的系統級晶片。但由於系統級先進封裝門檻也在不斷變高,想要實現進一步突破並不簡單。

以扇出型封裝為例,如果要進一步系統整合化,晶片挑揀、對位準度、重新佈線(RDL)製程及線路複雜度會進一步提升,以及其帶來的熱、電、應力效應衰減等將形成技術門檻。另外,晶片上市時間、基於成本考量的模組化程度、模組設計、模組效能設計驗證等方面,都需要加強產業鏈垂直整合。因此,對各企業而言,終端系統應用和系統整合能力將至關重要。

目前,隨著國際半導體巨頭紛紛佈局、掀起混戰,先進封裝的元年已宣告開啟。未來,在產業垂直整合趨勢加強下,具備系統化晶片及封裝設計與驗證能力的新產業模式或將興起。但由於市場的爆發期尚未來臨,無論對晶圓廠還是傳統封裝等廠商來說,現在的多維佈局將考驗各家的技術規劃、市佔率與營收整體藍圖。誰能在未來更勝一籌?這場競賽且拭目以待。